Architecture Declarations



ARCHITECTURE behavior1 OF
half_adder IS BEGIN
   PROCESS (enable, x, y)
   BEGIN
   IF (enable = '1') THEN
     result <= x XOR y;
     carry <= x AND y;
   ELSE
     carry <= '0'
     result <= '0';
   END PROCESS;
END behavior1;