LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- LIBRARY exemplar; USE exemplar.exemplar_1164.ALL; USE exemplar.exemplar.ALL; ENTITY synch_reset_detector_tester IS END synch_reset_detector_tester; -- ARCHITECTURE testing OF synch_reset_detector_tester IS COMPONENT synch_reset_detector PORT (x, r, clk : IN std_logic; z : INOUT std_logic); END COMPONENT; FOR original : synch_reset_detector USE ENTITY WORK.synch_reset_detector(behavioral); FOR synthesized : synch_reset_detector USE ENTITY WORK.machine2(exemplar) PORT MAP (x, r, clk, z); SIGNAL x, r, clk : std_logic := '0'; SIGNAL z_o, z_s : std_logic; BEGIN clk <= NOT clk AFTER 3.01 US WHEN NOW <= 50 US ELSE clk; x <= NOT x AFTER 5 US WHEN NOW <= 50 US ELSE x; r <= '0', '1' AFTER 40 US, '0' AFTER 43 US; original: synch_reset_detector PORT MAP (x, r, clk, z_o); synthesized: synch_reset_detector PORT MAP (x, r, clk, z_s); END testing;