LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- LIBRARY exemplar; USE exemplar.exemplar_1164.ALL; USE exemplar.exemplar.ALL; ENTITY bigger_tester IS END bigger_tester; -- ARCHITECTURE testing OF bigger_tester IS COMPONENT bigger PORT (a, b : IN std_logic_vector(3 DOWNTO 0); z : OUT std_logic_vector(3 DOWNTO 0)); END COMPONENT; FOR original : bigger USE ENTITY WORK.bigger(dataflow); FOR synthesized : bigger USE ENTITY WORK.LOGIC1(exemplar) PORT MAP (a(3), a(2), a(1), a(0), b(3), b(2), b(1), b(0), z(3), z(2), z(1), z(0) ); SIGNAL a, b : std_logic_vector(3 DOWNTO 0); SIGNAL z_o, z_s : std_logic_vector(3 DOWNTO 0); BEGIN a <= "0000", "1011" AFTER 20 US, "0110" AFTER 30 US, "0010" AFTER 40 US; b <= "0000", "0010" AFTER 20 US, "1101" AFTER 30 US, "1010" AFTER 40 US; original: bigger PORT MAP (a, b, z_o); synthesized: bigger PORT MAP (a, b, z_s); END testing;