LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- LIBRARY exemplar; USE exemplar.exemplar_1164.ALL; USE exemplar.exemplar.ALL; ENTITY basic_up_counter_tester IS END basic_up_counter_tester; -- ARCHITECTURE testing OF basic_up_counter_tester IS COMPONENT basic_up_counter PORT (clock : IN std_logic; count_out : INOUT std_logic_vector (7 DOWNTO 0)); END COMPONENT; FOR original : basic_up_counter USE ENTITY WORK.basic_up_counter(behavioral); FOR synthesized : basic_up_counter USE ENTITY WORK.COUNT0(exemplar) PORT MAP (clock, count_out(7), count_out(6), count_out(5), count_out(4), count_out(3), count_out(2), count_out(1), count_out(0) ); SIGNAL clock : std_ulogic := '0'; SIGNAL count_out_o, count_out_s : std_logic_vector (7 DOWNTO 0); BEGIN clock <= NOT clock AFTER 1 US WHEN NOW <= 200 US ELSE '0'; original: basic_up_counter PORT MAP (clock, count_out_o); synthesized: basic_up_counter PORT MAP (clock, count_out_s) ; END testing;