------------------------------------------------------------------------------- -- Synthesizable examples cover a large set of VHDL synthesizable constructs -- -- All examples have been synthesized with the Exemplar logic Core -- -- Copyright 1995, Zainalabedin Navabi navabi@ece.ut.ac.ir navabi@ece.neu.edu-- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- LIBRARY exemplar; USE exemplar.exemplar_1164.ALL; USE exemplar.exemplar.ALL; ENTITY basic_d_latch IS PORT (d, c : IN std_logic; z : OUT std_logic); END; ARCHITECTURE behavioral OF basic_d_latch IS BEGIN PROCESS (c, d) BEGIN IF c = '1' THEN z <= d; END IF; END PROCESS; END behavioral;