------------------------------------------------------------------------------- -- Synthesizable examples cover a large set of VHDL synthesizable constructs -- -- All examples have been synthesized with the Exemplar logic Core -- -- Copyright 1995, Zainalabedin Navabi navabi@ece.ut.ac.ir navabi@ece.neu.edu-- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- LIBRARY exemplar; USE exemplar.exemplar_1164.ALL; USE exemplar.exemplar.ALL; ENTITY cascadable_comparator IS PORT (a, b : IN std_logic_vector (3 DOWNTO 0); comp_in : IN std_logic_vector (2 DOWNTO 0); comp_out : OUT std_logic_vector (2 DOWNTO 0)); END; ARCHITECTURE dataflow OF cascadable_comparator IS BEGIN comp_out <= "100" WHEN a > b ELSE "001" WHEN b > a ELSE comp_in; END dataflow;