-- -- Program -- D:\EXEMPLAR\BIN\PC\FPGA.EXE D:\EXEMPLAR\TUTORIAL\REG3.VHD D:\EXEMPLAR\TUTORI -- AL\HARDWARE\REG3.VHD -COMMAND_FILE=D:\EXEMPLAR\DEMO\TMP20.$$$ -- Version V2.1.4 -- Definition of REG3 -- -- VHDL Structural Description, created by -- Exemplar Logic's CORE -- Thu Mar 16 14:45:03 1995 -- -- -- library ieee ; use ieee.std_logic_1164.all ; LIBRARY exemplar; USE exemplar.Cypress_pASIC.ALL; entity REG3 is port ( D, C, A : in std_logic ; Z : out std_logic) ; end REG3 ; architecture exemplar of REG3 is signal FLAGr1: std_logic ; begin g1000 : AND3I0 port map ( Q=>Z, A=>FLAGr1, B=>A, C=>D); vh_3 : DFF port map ( CLK=>C, D=>D, Q=>FLAGr1); end exemplar ;