-- -- Program -- D:\EXEMPLAR\BIN\PC\FPGA.EXE D:\EXEMPLAR\TUTORIAL\LOGIC6.VHD D:\EXEMPLAR\TUTO -- RIAL\HARDWARE\LOGIC6.VHD -COMMAND_FILE=D:\EXEMPLAR\DEMO\TMP4.$$$ -- Version V2.1.4 -- Definition of LOGIC6 -- -- VHDL Structural Description, created by -- Exemplar Logic's CORE -- Sat Mar 4 14:09:46 1995 -- -- -- library ieee ; use ieee.std_logic_1164.all ; LIBRARY exemplar; USE exemplar.Cypress_pASIC.ALL; entity LOGIC6 is port ( A_7, A_6, A_5, A_4, A_3, A_2, A_1, A_0 : in std_logic ; N_2, N_1, N_0 : out std_logic ; Z_7, Z_6, Z_5, Z_4, Z_3, Z_2, Z_1, Z_0 : inout std_logic ; F : out std_logic) ; end LOGIC6 ; architecture exemplar of LOGIC6 is signal vh_0, vh_1, vh_2, vh_4, vh_5, vh_7, vh_8, vh_10, vh_11, vh_12, vh_13: std_logic ; begin g1000 : AND2I1 port map ( Q=>Z_1, A=>A_1, B=>A_0); g1001 : AND3I2 port map ( Q=>Z_2, A=>A_2, B=>Z_1, C=>A_0); g1002 : AND3I3 port map ( Q=>vh_0, A=>Z_2, B=>Z_1, C=>A_0); g1003 : AND4I3 port map ( Q=>Z_3, A=>A_3, B=>Z_1, C=>A_0, D=>Z_2); g1004 : AND2I1 port map ( Q=>vh_1, A=>vh_0, B=>Z_3); g1005 : AND2I0 port map ( Q=>Z_4, A=>A_4, B=>vh_1); g1006 : AND2I1 port map ( Q=>vh_2, A=>vh_1, B=>Z_4); g1007 : AND2I0 port map ( Q=>Z_5, A=>A_5, B=>vh_2); g1008 : AND3I1 port map ( Q=>Z_6, A=>vh_2, B=>A_6, C=>Z_5); g1009 : AND4I2 port map ( Q=>Z_7, A=>A_7, B=>vh_2, C=>Z_6, D=>Z_5); g1010 : AND2I2 port map ( Q=>vh_4, A=>Z_6, B=>Z_7); g1011 : AND3I2 port map ( Q=>vh_5, A=>vh_4, B=>Z_4, C=>Z_5); g1012 : INV port map ( Q=>N_2, A=>vh_5); g1013 : AND2I2 port map ( Q=>vh_7, A=>Z_2, B=>Z_3); g1014 : AND2I1 port map ( Q=>vh_8, A=>vh_5, B=>vh_7); g1015 : OR2I1 port map ( Q=>N_1, A=>vh_8, B=>vh_4); g1016 : AND3I2 port map ( Q=>vh_10, A=>A_1, B=>Z_2, C=>A_0); g1017 : AND2I2 port map ( Q=>vh_11, A=>Z_3, B=>vh_10); g1018 : AND2I1 port map ( Q=>vh_12, A=>vh_5, B=>vh_11); g1019 : AND2I0 port map ( Q=>vh_13, A=>Z_5, B=>vh_4); g1020 : OR3I0 port map ( Q=>N_0, A=>Z_7, B=>vh_12, C=>vh_13); Z_0 <= A_0 ; g1021 : OR2I2 port map ( Q=>F, A=>vh_1, B=>vh_5); end exemplar ;