-- -- Program -- D:\EXEMPLAR\BIN\PC\FPGA.EXE D:\EXEMPLAR\TUTORIAL\COUNT2.VHD D:\EXEMPLAR\TUTO -- RIAL\HARDWARE\COUNT2.VHD -COMMAND_FILE=D:\EXEMPLAR\DEMO\TMP10.$$$ -- Version V2.1.4 -- Definition of COUNT2 -- -- VHDL Structural Description, created by -- Exemplar Logic's CORE -- Thu Mar 2 17:37:16 1995 -- -- -- library ieee ; use ieee.std_logic_1164.all ; LIBRARY exemplar; USE exemplar.Cypress_pASIC.ALL; entity COUNT2 is port ( CLOCK, RESET : in std_logic ; COUNT_OUT_7, COUNT_OUT_6, COUNT_OUT_5, COUNT_OUT_4, COUNT_OUT_3, COUNT_OUT_2, COUNT_OUT_1, COUNT_OUT_0 : inout std_logic) ; end COUNT2 ; architecture exemplar of COUNT2 is signal vh_9, vh_10, vh_11, vh_12, vh_13, vh_16, vh_17, vh_18, vh_21, vh_22, vh_25, vh_26, vh_27, vh_30, vh_31, vh_34, vh_35, vh_36, vh_39, vh_40, vh_41, vh_44: std_logic ; begin g1000 : AND3I0 port map ( Q=>vh_9, A=>COUNT_OUT_2, B=>COUNT_OUT_1, C=>COUNT_OUT_0); g1001 : AND3I0 port map ( Q=>vh_10, A=>COUNT_OUT_4, B=>COUNT_OUT_3, C=>vh_9); g1002 : AND3I0 port map ( Q=>vh_11, A=>COUNT_OUT_6, B=>COUNT_OUT_5, C=>vh_10); g1003 : MUX2X2 port map ( Q=>vh_12, A=>vh_11, B=>vh_11, S=>COUNT_OUT_7); g1004 : AND2I1 port map ( Q=>vh_13, A=>vh_12, B=>RESET); g1005 : AND2I0 port map ( Q=>vh_16, A=>COUNT_OUT_5, B=>vh_10); g1006 : MUX2X2 port map ( Q=>vh_17, A=>vh_16, B=>vh_16, S=>COUNT_OUT_6); g1007 : AND2I1 port map ( Q=>vh_18, A=>vh_17, B=>RESET); g1008 : MUX2X2 port map ( Q=>vh_21, A=>vh_10, B=>vh_10, S=>COUNT_OUT_5); g1009 : AND2I1 port map ( Q=>vh_22, A=>vh_21, B=>RESET); g1010 : AND2I0 port map ( Q=>vh_25, A=>COUNT_OUT_3, B=>vh_9); g1011 : MUX2X2 port map ( Q=>vh_26, A=>vh_25, B=>vh_25, S=>COUNT_OUT_4); g1012 : AND2I1 port map ( Q=>vh_27, A=>vh_26, B=>RESET); g1013 : MUX2X2 port map ( Q=>vh_30, A=>vh_9, B=>vh_9, S=>COUNT_OUT_3); g1014 : AND2I1 port map ( Q=>vh_31, A=>vh_30, B=>RESET); g1015 : AND2I0 port map ( Q=>vh_34, A=>COUNT_OUT_1, B=>COUNT_OUT_0); g1016 : MUX2X2 port map ( Q=>vh_35, A=>vh_34, B=>vh_34, S=>COUNT_OUT_2); g1017 : AND2I1 port map ( Q=>vh_36, A=>vh_35, B=>RESET); g1018 : AND3I2 port map ( Q=>vh_39, A=>COUNT_OUT_0, B=>RESET, C=>COUNT_OUT_1); g1019 : AND3I2 port map ( Q=>vh_40, A=>COUNT_OUT_1, B=>RESET, C=>COUNT_OUT_0); g1020 : OR2I0 port map ( Q=>vh_41, A=>vh_39, B=>vh_40); g1021 : AND2I2 port map ( Q=>vh_44, A=>RESET, B=>COUNT_OUT_0); vh_46 : DFF port map ( CLK=>CLOCK, D=>vh_13, Q=>COUNT_OUT_7); vh_47 : DFF port map ( CLK=>CLOCK, D=>vh_18, Q=>COUNT_OUT_6); vh_48 : DFF port map ( CLK=>CLOCK, D=>vh_22, Q=>COUNT_OUT_5); vh_49 : DFF port map ( CLK=>CLOCK, D=>vh_27, Q=>COUNT_OUT_4); vh_50 : DFF port map ( CLK=>CLOCK, D=>vh_31, Q=>COUNT_OUT_3); vh_51 : DFF port map ( CLK=>CLOCK, D=>vh_36, Q=>COUNT_OUT_2); vh_52 : DFF port map ( CLK=>CLOCK, D=>vh_41, Q=>COUNT_OUT_1); vh_53 : DFF port map ( CLK=>CLOCK, D=>vh_44, Q=>COUNT_OUT_0); end exemplar ;