EE295 - ASIC Design Using VHDL

Asic Methodology

Assignment: n/a

 We discuss the ASIC Design Methodology or Process.
The steps needed to design an ASIC.

Outline

A Simplified Methodology

Our Methodology

Documentation for These Products is now available
on the web. Take some Time to Familiarize Yourself with AUSSIM and Booledozer
and HIS.

Design Steps:

Project Definition

Design Capture

Simulation

Synthesis

Note: VHDL CAD Products Seldom Share an Internal Database.
It is Often Necessary to Analyze Your Design into Every CAD Product
Involved in the Methodology. Even More Challenging, Whereas Most
Commercially Successful VHDL Simulation Products Handle 99%+ of the
Language, Synthesis Tools are not as Mature. Currently, There is
Preliminary Standardization Work Initiating in This Area.

Additional Verification

Test

Many Diverse Strategies Ranging From:

Physical Design


How was the class? Send your comments to jswift@vnet.ibm.com
Return to Class Home Page
Copyright 1995, James Swift
Copying this document without the permission of the author is prohibited and a violation of international copyright laws.