-- Components: -- 06.06.93 adder_1_cell library ieee; package fault_circuit_cmpt is use ieee.std_logic_1164.all; component fault_circuit port ( a : in std_ulogic_vector(9 downto 0); y : out std_ulogic ); end component; end fault_circuit_cmpt;