-- +-----------------------------+ -- | Library: multiplexer | -- | designer : Tim Pagden | -- | opened: 14 May 1995 | -- +-----------------------------+ -- Components: -- 14.05.95 demux_32 library ieee; package demux_32_cmpt is use ieee.std_logic_1164.all; component demux_32 port ( a : in std_ulogic_vector(4 downto 0); enable : in std_ulogic; y : out std_ulogic_vector(31 downto 0) ); end component; end demux_32_cmpt;