-- +-----------------------------+ -- | Library: multiplexer | -- | designer : Tim Pagden | -- | opened: 14 May 1995 | -- +-----------------------------+ -- Architectures: -- 15.05.95 behaviour library ieee; library vfp; -- behaviour architecture behaviour of demux_32 is use ieee.std_logic_1164.all; use vfp.generic_conversions.all; begin demux_a_to_y: process (a, enable) begin y <= (others => '0'); if enable = '1' then y(to_integer(a)) <= '1'; end if; end process; end behaviour;