-- +-----------------------------+ -- | Copyright 1995-1996 DOULOS | -- | Library : arithmetic | -- | designer : Tim Pagden | -- | opened: 1 May 1995 | -- +-----------------------------+ -- Function: 14-bit adder library ieee; use ieee.std_logic_1164.all; entity adder_14 is port ( a : in std_ulogic_vector(13 downto 0); b : in std_ulogic_vector(13 downto 0); c_in : in std_ulogic; y : out std_ulogic_vector(13 downto 0); c_out : out std_ulogic ); end adder_14;