-- +-----------------------------+ -- | designer : Tim Pagden | -- | opened: 30 Sep 1993 | -- +-----------------------------+ -- Function: 32-tap FIR filter library ieee; library vfp; use ieee.std_logic_1164.all; use vfp.bus_class.all; entity FIR_32tap_8_8 is port ( a : in std_ulogic_vector(7 downto 0); b : in ulogic_8_vector(31 downto 0); clock : in std_ulogic; reset : in std_ulogic; y : out std_ulogic_vector(20 downto 0) ); end FIR_32tap_8_8;